ghdl
Open-source simulator for the VHDL language. More information: <http://ghdl.free.fr>.
Install
- All systems
-
curl cmd.cat/ghdl.sh
- Debian
-
apt-get install ghdl
- Ubuntu
-
apt-get install ghdl
- Kali Linux
-
apt-get install ghdl
- Fedora
-
dnf install ghdl
- Windows (WSL2)
-
sudo apt-get update
sudo apt-get install ghdl
- Dockerfile
- dockerfile.run/ghdl
Open-source simulator for the VHDL language. More information: <http://ghdl.free.fr>.
-
Analyze a VHDL source file and produce an object file:
ghdl -a filename.vhdl
-
Elaborate a design (where `design` is the name of a configuration unit, entity unit or architecture unit):
ghdl -e design
-
Run an elaborated design:
ghdl -r design
-
Run an elaborated design and dump output to a waveform file:
ghdl -r design --wave=output.ghw
-
Check the syntax of a VHDL source file:
ghdl -s filename.vhdl
-
Display the help page:
ghdl --help
© tl;dr; authors and contributors