ghdl-gcc

VHDL compiler/simulator (GCC backend)

Install

All systems
curl cmd.cat/ghdl-gcc.sh
Debian Debian
apt-get install ghdl-gcc
Ubuntu
apt-get install ghdl-gcc
image/svg+xml Kali Linux
apt-get install ghdl-gcc
Windows (WSL2)
sudo apt-get update sudo apt-get install ghdl-gcc

ghdl-gcc

VHDL compiler/simulator (GCC backend)

GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. This package contains the compiler with the GCC backend.